Welcome![Sign In][Sign Up]
Location:
Search - FFT verilog

Search list

[Other resourcecf_fft_2048v

Description: 基于FPGA的2048点FFT的verilog实现的源代码。-FPGA-based 2048-point FFT verilog the source code.
Platform: | Size: 26462 | Author: elber | Hits:

[Other resourcefft1024

Description: 1024点fft verilog hdl
Platform: | Size: 24634 | Author: 罗运 | Hits:

[Compress-Decompress algrithmsfft

Description: jpeg压缩中离散余弦变换DCT快速算法代码,使用的是verilog
Platform: | Size: 1017 | Author: 张伟 | Hits:

[VHDL-FPGA-Verilogverilog fft_64_12

Description: radix-4,利用cordic算法实现复乘单元
Platform: | Size: 13243 | Author: mikehonour@126.com | Hits:

[VHDL-FPGA-Verilog512点FFTVerilog实现

Description: 关于FFT Verilog代码实现的源代码
Platform: | Size: 13030773 | Author: happyhongt@163.com | Hits:

[SourceCodeFFT 的MATALAB模型

Description: FFT 的MATALAB模型,还包括VERILOG源码和仿真文件
Platform: | Size: 1120773 | Author: xiaomei1219@126.com | Hits:

[SourceCodeFFT的verilog程序

Description: FFT的verilog实现
Platform: | Size: 7282 | Author: kudding1988 | Hits:

[Embeded-SCM Developverilog HDL FFT程序

Description: 采用的verilog HDL语言编写的FFT的程序
Platform: | Size: 142482 | Author: hj445300@163.com | Hits:

[VHDL-FPGA-Verilog一篇用VHDL实现快速傅立叶变换的论文

Description: 一篇用VHDL实现快速傅立叶变换的论文,包括原理分析和代码实现,印度圣雄甘地大学M.A.学院提供-VHDL with a Fast Fourier Transform papers, including the principle of analysis and implementation of the code, the Mahatma Gandhi Institute of the University of Marat
Platform: | Size: 62464 | Author: | Hits:

[VHDL-FPGA-VerilogCORDIC01

Description: CORDIC算法的硬件实现 用的verilog语言-CORDIC algorithm Hardware Implementation of the Verilog language
Platform: | Size: 221184 | Author: 李文文 | Hits:

[VHDL-FPGA-Verilogfft_512

Description: 由system generator生成,可供参考-generator generated by the system is available for reference,
Platform: | Size: 133120 | Author: 张竟 | Hits:

[VHDL-FPGA-Verilogdigifilter.tar

Description: verilog实现的数字滤波器,用于fpga-Verilog realize the digital filter for the FPGA
Platform: | Size: 1137664 | Author: 枫叶鹏 | Hits:

[VHDL-FPGA-Verilogip_fft128

Description: 128点fft的IP核vhdl源代码,另有其控制代码。-128 point fft s IP core VHDL source code, while its control code.
Platform: | Size: 7168 | Author: 戈立军 | Hits:

[Compress-Decompress algrithmsfft

Description: jpeg压缩中离散余弦变换DCT快速算法代码,使用的是verilog
Platform: | Size: 1024 | Author: 张伟 | Hits:

[VHDL-FPGA-Verilogpipelined_fft_256

Description: verilog编写的并行256点fft代码(Verilog prepared parallel 256 points fft code)
Platform: | Size: 221184 | Author: lionsde | Hits:

[VHDL-FPGA-Verilog数字信号处理的FPGA实现-第三版-verilog源程序

Description: 数字信号处理的FPGA实现, 包括了FPGA基础知识,浮点运算,信号处理的FIR FFT等,附录包含源代码(Digital signal processing FPGA implementation, including the basic knowledge of FPGA, floating point operations, signal processing FIR, FFT, etc., the appendix contains the source code)
Platform: | Size: 4568064 | Author: btty | Hits:

[VHDL-FPGA-Verilogfft-dit-fpga-master

Description: 快速傅里叶变换verilog代码。时域抽取(the code of fft in verilog. DIT algorithm)
Platform: | Size: 26624 | Author: slplion | Hits:

[VHDL-FPGA-Verilogfft2_core

Description: 两点的fft实现及仿真 基于altera公司的cycloneⅣ(The FFT implementation and Simulation of two points are based on the cyclone IV of Altera company)
Platform: | Size: 3542016 | Author: fxc123 | Hits:

[VHDL-FPGA-Verilog8815397fft

Description: 基于MATLAB/FPGA的fft的verilog实现。(Verilog implementation of FFT based on MATLAB/FPGA)
Platform: | Size: 2048 | Author: hlayumi | Hits:

[OtherAD多通道采集 FFT实验

Description: FFT核和AD多通道采集的Verilog HDL(Verilog HDL with FFT Core and AD Multichannel Acquisition)
Platform: | Size: 4799488 | Author: xq001 | Hits:
« 1 2 3 4 56 7 8 9 10 11 12 »

CodeBus www.codebus.net